「リレー式半加算器」に続いて、半加算器と全加算器を組み合わせ、4ビットの2進加算器を作ってみました。(図1)
fadd1図1

(1)全加算器をつくる
全加算器は、半加算器の入力に前桁からの桁上げ分を加えたもので、2入力の場合ならば、入力は3となります。(図2)
全加算器の真理値表は表1、論理式は図3のとおりで、これを接点を使った回路で表すと図4のようになります。
画像1図2 表1表1

画像2図3

画像3a画像3b図4

実際のリレーを使った半加算回路を図5に、全加算回路を図6に示します。リレーは2回路2接点のものを使用しています。
画像4図5
画像5図6

(2)入力と出力の表示回路
入力は4つの押しボタンスイッチで、2進数を入力できるようにしています。表示回路もつけてあります。(図7)
出力は5ビットの表示回路としています。(図8)
画像6図7 画像7図8

(3)4ビットの加算器
4ビットの2つの入力を持つ全加算器は図9のような回路となります。
画像8図9

(4)製作
使用したパーツを表2に示します。
今回は、同じ回路を複数製作する必要があるので、エッチングでプリント基板を自作しましたが、ユニバーサル基板でも作ることができます。
画像9表2

(5)動作
図6のように、入力の2進数の和が出力に表示されます。(図は、111+1=1000 を表示しているところ)

fadd2
※製作を考えておられる方は、Web 管理者を通じて、資料をご請求ください。


投稿者:おぎのかずとし(大阪工業大学)

Print Friendly, PDF & Email

関連するお勧め教材